71st ECTC Highlights

Note: We will provide links to the presentations as they become available.

Best Papers

1)      Best Session Paper
  Proof of Concept: Glass-Membrane Based Differential Pressure Sensor
Anatoly Glukhovskoy - Leibniz University, Maren S. Prediger - Leibniz University, Jennifer Schäfer- Leibniz University, Norbert Ambrosius - LPKF Laser & Electronics AG, Aaron Vogt - LPKF Laser & Electronics AG, Rafael Santos - LPKF Laser & Electronics AG, Roman Ostholt - LPKF Laser & Electronics AG, and Marc Christopher Wurz - Leibniz University

2)      Best Interactive Presentation Paper 
  System in package embedding III-V chips by fan-out wafer-level packaging for RF applications
Arnaud Garnier, Laetitia Castagné, Florent Gréco, Thomas Guillemet, Laurent Maréchal, Mehdy Neffati, Rémi Franiatte, Perceval Coudrain, Stéphane Piotrowicz, and Gilles Simon - CEA-Leti. Authors 1-3, 7, 8, 10: CEA-Leti, Author 4: Thales DMS, Authros 5, 6: United Monolithic
Arnaud Garnier - CEA-Leti, Laetitia Castagné - CEA-Leti, Florent Gréco - CEA-Leti, Thomas Guillemet - Thales DMS, Laurent Maréchal - United Monolithic Semiconductors, Mehdy Neffati - United Monolithic Semiconductors, Rémi Franiatte - CEA-Leti, Perceval Coudrain - CEA-Leti, Stéphane Piotrowicz - III-V Lab, Gilles Simon - CEA-Leti

3)      Outstanding Session Paper
  Ultra-Thinning of 20 nm-Node DRAMs down to 3 um for Wafer-on-Wafer (WOW) Applications
Zhiwen Chen - Tokyo Institute of Technology, Naoko Araki - Tokyo Institute of Technology, Youngsuk Kim - Tokyo Institute of Technology, Tadashi Fukuda - Tokyo Institute of Technology, Koji Sakui - Tokyo Institute of Technology, Tomoji Nakamura - Tokyo Institute of Technology, Tatsuji Kobayashi - Micron Memory Japan, Takashi Obara - Micron Memory Japan, and Takayuki Ohba - Tokyo Institute of Technology 

4)      Outstanding Interactive Presentation Paper 
  Cu Recrystallization and the Formation of Epitaxial and Non-Epitaxial Cu/Cu/Cu Interfaces in Stacked Blind Micro Via Structures
T. Bernhard, S. Dieter, R. Massey, S. Kempa, E. Steinhäuser, F. Brüning. All authors: Atotech Deutschland GmbH.

5)      Intel Best Student Session Paper
  Mechanical Behavior and Reliability of SAC+Bi Lead Free Solders with Various Levels of Bismuth
KM Rafidh Hassan, Jing Wu, Mohammad S. Alam, Jeffrey Suhling, and Pradeep Lall. All authors: Auburn University

Event Presentations

1)      Keynote Week 1: What the Chiplet-Based Future of Compute Means for Components and Technology
  Sam Naffziger, Senior Vice President of AMD
  Introduction
  Presentation
  Questions & Answers

2)      Keynote Week 2: Transitioning to a Renewable Energy-Based Grid 
  Pedro Arsuaga, Renewable Energy Business Program Manager at GE Research
  Introduction
  Presentation
  Questions & Answers

3)      Special session: Transformation of the Electronics Industry in a Post-Covid World
  Pedro Arsuaga, Renewable Energy Business Program Manager at GE Research
  Introduction
  Jie Xue, Cisco Systems, Inc.
  Rama Divakaruni, IBM Corporation
  Thorsten Meyer, Infineon
  Ram Trichur, Henkel
  Yadunath Zambre, Air Force Research Laboratory
  David Davenport, General Electric Research
  Craig Orr, Samsung Foundry USA
  Q&A

4)      Special session: Low Temperature Solder (LTS) – Packaging Challenges of a Next-Generation SMT Interconnect 
  Kevin Byrd – Intel Corporation and Keith Newman – AMD 
  Sponsor: Dupont
  Sponsor: Dupont
  Introduction
  Kevin Byrd ñ Intel Corporation
  Traian C. Cucu, MacDermidAlpha
  Luke Wentlent, Universal Instruments
  Richard Coyle, Nokia Bell Labs
  Carol A. Handwerker, Purdue University
  Eric Cotts, Binghamton University
  Q&A

5)      Special session: Heterogeneous Integration Roadmap-Rising to the Chiplet Challenge  
  Kanad Ghose – Binghamton University and Dale Becker – IBM
  Video of full session
  Bryan Black, AMD
  Bapi Vennakota, OCP/ODSA & Broadcom
  David Kehlet, Intel
  CP Hung, ASE
  Shin-Puu Jeng, TSMC
  Madhavan Swaminathan, Georgia Tech
  Jie Xue, Cisco Systems, Inc.

6)      Special session: : Diversity Does Matter and Can Drive Enhanced Business Performance  
  Allyson Hartzell – Philips and Kitty Pearsall – Boss Precision, Inc.
  Sponsor: Dupont
  Introduction (Allyson Hartzell)
  Introduction (Kitty Pearsall)
  Viktor Tymchenko, Intel Corporation
  Alexandra Gualdino, PMD Technologies
  Adeel Bajwa, Kulicke and Soffa Industries, Inc.
  Joyce Wu, Analog Devices
  Q&A

7)      Special session: Home Use Medical Devices and Packaging in Wearable Technologies
  Kotlanka Rama Krishna – Analog Devices and Ahyeon Koh – Binghamton University
  Sponsor: S3IP at Binghamton University
  Introduction
  David Bolognia, Analog Devices
  Kelvin Pun, Compass Technologies
  Aghogho Obi, GE
  Wei Gao, California Institute of Technology
  Benjamin C. K. Tee, National University of Singapore
  Q&A

8)      Special session: Market Trends and Geopolitical & Economic Outlook
  Rozalia Beica – AT&S and Ed Sperling – SemiEngineering
  Sponsor: AT&S
  Introduction (Rozalia Beica)
  Introduction (Ed Sperling)
  Carolyn Evans, Intel Corporation
  Duncan Meldrum, Hilltop Economics
  Risto Puhakka, VLSI Research
  Jean Christophe Eloy, Yole Developpement
  Q&A

9)      Special session: Pathogen Detection & Eradication via Photonics
  Chris Bower – X Display Company and Mark Beranek – NAVAI
  Introduction
  Benjamin Miller, University of Rochester
  James Malley, University of New Hampshire
  Thomas Baer, Stanford Photonics Research Center
  Gary Eden, University of Illinois & Eden Park Illumination
  Ling Zhou, Bolb Inc.
  Zlatko Sitar, North Carolina State University
  Q&A

Media Coverage

EEE/EPS:

The 71th ECTC Virtual Conference—Amazing Online Participation from the Community

Chip Scale Review:

Highlights of the 71st ECTC Conference

3D InCites:

IFTLE 490: TSMC considers Packaging Facility in the US; EMIB Technology Advances

ECTC 2021: Economic Outlook Focuses on the Impact of the Pandemic

IFTLE 492: Will Intel Acquire GlobalFoundries? Intel/IBM R&D Partnership

ECTC 2021 Features the Hottest Topics and Trends in Microelectronics

Semiconductor Engineering:

Bumps Vs. Hybrid Bonding For Advanced Packaging

i-Micronews (YOLE):

Highlights of the 71st ECTC Conference